A New Approach to Accurate Dynamic Power Estimation of SoC Designs

By eliminating a file-based flow, new tools offer a complete RTL power exploration and accurate gate-level power analysis process. Source: EE Times In a recent post, I highlighted the intrinsic limitations of the current approach to estimate dynamic power consumption. Briefly, the approach consists of a file-based flow that evolves through two steps. First, a simulator … Read more

Emulation Takes Center Stage

Source: EDACafe Emulation is enjoying its moment in the spotlight and none too soon. Design complexity of all types has conspired to make chip verification an arduous task. These days, the fabric of system-on-chip (SoC) designs includes several processing cores, large sets of specialized IP, a plethora of peripherals and complex memories, routinely pushing the design … Read more

Putting Emulation on the Map

Source: Tech Design Forum The Design Automation Conference (DAC) program is now available at www.dac.com. It offers something for just about all chip designers and embedded software developers. I have just one gripe. While functional verification plays a significant role in panels, paper sessions and tutorials, I couldn’t find a standalone session on hardware emulation, the foundation … Read more

The Power Estimation Challenge

Is it time for the industry to devise a nimbler, faster and more efficient methodology? Source: Semiconductor Engineering If you wonder how important low power is in chip design today, consider the recent news in the blogosphere reporting the controversy surrounding Qualcomm’s Snapdragon 810 SoC — the company’s first flagship 64-bit chip, which will most likely … Read more

Why the OS is the Hub of a Hardware Emulator

The OS shields the software from the hardware and assures the compatibility of any new and old software with any new or old hardware platform For more than a decade, I have been following the practice of upgrading my laptop every three years. I do so for more than one reason. After three years of … Read more

Design Compilation in Hardware Emulators

Design capacity in hardware emulators, also the compilation flow, is heavily dependent on the type of technology used in the verification engine. Source:  EETimes In my last blog post, I described differences in the maximum design capacity of a software simulator versus that of a hardware emulator, highlighting that not all emulators are created equal. In … Read more

Lauro on CDNS Palladium-XP2 vs. MENT Veloce 2 vs. SNPS Zebu 3

Source: DeepChip Subject: Lauro on CDNS Palladium-XP2 vs. MENT Veloce 2 vs. SNPS Zebu 3 > Category 1: > > – Cadence Palladium. Hats off to Cadence for being pioneers in > emulation and sustaining innovation to maintain a very competitive > product year-over-year. > > – Mentor Veloce. Their revenue numbers show emulation is a … Read more

The Melting of the ICE Age

Many designers still use ICE, but a designer’s verification perspective typically changes once he or she experiences transaction-based verification through emulation Source: Electronic Deisgn Hardware emulation is the only verification tool that can be deployed in more than one mode of operation. In fact, it can be used in four main modes, with some of them … Read more

Hardware/Software Planets Align With Hardware Emulation

Source:  Embedded Systems Engineering It wasn’t all that long ago when hardware engineers and embedded software developers saw no common ground. It was all but the semiconductor world’s version of “Men are from Mars, Women are from Venus.” While they may have been the same gender, worked for the same company and attended the same … Read more