Almost Everything on Hardware Emulation

Source: EDACafé

Many proponents and users of hardware emulation continue to enthuse about its benefits, expanding use models and growing popularity among hardware design and verification engineering groups. We believe it is the foundation of almost all verification strategies today, not replacing simulation, but augmenting it.

The topic of hardware emulation’s popularity is starting to show up in technical conferences and other industry events, reinforcing what we’re seeing. Wall Street’s paying attention as well.

I’ve been tracking this trend and writing about it for two years as a consultant and even longer as an executive with an emerging hardware emulation vendor. Not long ago, Sanjay Gangal, president of EDACafe, asked me to post an article I bylined for Embedded Systems Engineering in January titled, “For Software Developers, Hardware Emulation Rules!” Permission from Extension Media was granted and article posted on this blog in February. This got me thinking that a list of articles on hardware emulation available all in one place may be useful to anyone researching how and why a project team should evaluate a tool like this.

Below are links to 15 articles published over the past two years in Chip Design, Embedded Computing Design, Electronic Design and Evaluation Engineering, all meant to highlight hardware emulation’s varied applications. This is by no means a complete list, but a selection of a few of the more widely read pieces.

Please let me know in the comments section below whether this is useful. If so, I’ll compile more articles into another list and post it in the future.

The Golden Age of EmulationChip Design, July 2015 —http://bit.ly/1SencrV

Design-for-Testability (DFT) Verified with Hardware EmulationChip Design, March 2016 —http://bit.ly/1Xtsdeo

Big Data requires massive amounts of verificationEmbedded Computing Design, September 2014 —http://bit.ly/1pmoNiu

Hardware emulation to debug embedded system softwareEmbedded Computing Design, February 2016 —http://bit.ly/1TgPAct

What’s The Difference Between FPGA And Custom Silicon Emulators?Electronic Design, April 2014 —http://bit.ly/1Va6A45

Emulation Design Datacenters Support Verification EngineersElectronic Design, July 2014 —http://bit.ly/1nM70QE

Hardware Emulation: A Weapon of Mass VerificationElectronic Design, October 2014 —http://bit.ly/22i1BUq

The Melting of the ICE Age,Electronic Design, February 2015 —http://bit.ly/22lkT94

Speeding Mobile Products to MarketElectronic Design, April 2015 —http://bit.ly/1y4RAvn

Emulation Fast-Tracks Networking Products to MarketElectronic Design, July 2015 —http://bit.ly/1IbJOiQ

Implementing Functional Coverage with Hardware EmulationElectronic Design, October 2015 —http://bit.ly/1GgsYUN

11 Myths About Hardware EmulationElectronic Design, December 2015 — http://bit.ly/1ZxpLFO

Moving to Deterministic ICEElectronic Design, February 2016 — http://bit.ly/1QAx3S4

When to use simulation, when to use emulationElectronic Products, September 2014 — http://bit.ly/1S2tlEu

DFT app supports hardware emulationEvaluation Engineering, April 19, 2016 — http://bit.ly/1U4DymE